Recent Research Highlights:


Publications:

    Refereed Conference and Workshop Publications:

  1. Jason Cong, Zhenman Fang, Farnoosh Javadi, and Glenn Reinman. AIM: Accelerating Computational Genomics through Scalable and Noninvasive Accelerator-Interposed Memory. International Symposium on Memory Systems (MEMSYS), Oct 2017. BEST PAPER AWARD
  2. Yuchen Hao, Zhenman Fang, Jason Cong, and Glenn Reinman. Supporting Address Translation for Accelerator-Centric Architectures. International Symposium on High Performance Computer Architecture (HPCA), Feb 2017.
  3. Young-kyu Choi, Jason Cong, Zhenman Fang, Yuchen Hao, Glenn Reinman, and Peng Wei. A Quantitative Analysis on Microarchitectures of Modern CPU-FPGA Acceleration Platforms. Design Automation Conference (DAC), Jun 2016.
  4. Jason Cong, Zhenman Fang, Michael Gill, and Glenn Reinman. PARADE: A Cycle-Accurate Full-System Simulation Platform for Accelerator-Rich Architectural Design and Exploration. International Conference on Computer-Aided Design (ICCAD), Nov 2015.
  5. Jason Cong, Michael Gill, Yuchen Hao, Glenn Reinman, and Bo Yuan. On-chip Interconnection Network for Accelerator-Rich Architectures. Design Automation Conference (DAC), Jun 2015.
  6. Beayna Grigorian, Nazanin Farahpour, and Glenn Reinman. BRAINIAC: Bringing Reliable Accuracy Into Neurally-Implemented Approximate Computing. International Symposium on High-Performance Computer Architecture (HPCA), Feb 2015.
  7. Beayna Grigorian and Glenn Reinman. Accelerating Divergent Applications on SIMD Architectures Using Neural Networks. IEEE International Conference on Computer Design (ICCD), Oct 2014.
  8. Beayna Grigorian and Glenn Reinman. Dynamically Adaptive and Reliable Approximate Computing Using Light-Weight Error Analysis. NASA/ESA Adaptive Hardware and Systems conference (AHS), Jul 2014.
  9. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Karthik Gururaj, and Glenn Reinman. Accelerator-Rich Architectures: Opportunities and Progresses. Design Automation Conference (DAC), Jun 2014.
  10. Beayna Grigorian and Glenn Reinman. Dynamically Reliable Approximate Computing Using Light-weight Analysis, Workshop on Approximate Computing Across the System Stack (WACAS14), 2014.
  11. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, Hui Huang, and Glenn Reinman, Composable Accelerator-rich Microprocessor Enhanced for Adaptivity and Longevity, International Symposium on Low Power Electronics and Design (ISLPED), Sep 2013.
  12. Hao Wu, Lan Nan, Sai-Wang Tam, Hsieh-Hung Hsieh, Chewpu Jou, Glenn Reinman, Jason Cong, and Mau-Chung Frank Chang. A 60GHz On-Chip RF-Interconnect with N;/4 Coupler for 5Gbps Bi-Directional Communication and Multi-Drop Arbitration. IEEE Custom Integrated Circuits Conference (CICC), Sep 2012.
  13. Yu-Ting Chen, Jason Cong, Hui Huang, Chunyue Liu, Raghu Prabhakar and Glenn Reinman. Static and Dynamic Co-Optimizations for Blocks Mapping in Hybrid Caches. International Symposium on Low Power Electronics and Design (ISLPED), Jul/Aug 2012.
  14. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian and Glenn Reinman. CHARM: A Composable Heterogeneous Accelerator-Rich Microprocessor. International Symposium on Low Power Electronics and Design (ISLPED), Jul/Aug 2012.
  15. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Chunyue Liu and Glenn Reinman. BiN: A Buffer-in-NUCA Scheme for Accelerator-Rich CMPs. International Symposium on Low Power Electronics and Design (ISLPED), Jul/Aug 2012.
  16. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, and Glenn Reinman. Accelerator-Rich Architecture for Power-Constrained CMPs. Dark Silicon Workshop (DaSi - held in conjunction with ISCA), Jun 2012
  17. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, and Glenn Reinman. Architecture Support for Accelerator-Rich CMPs. Design Automation Conference (DAC), Jun 2012
  18. Yu-Ting Chen, Jason Cong, Hui Huang, Bin Liu, Chunyue Liu, Miodrag Potkonjak and Glenn Reinman. Dynamically Reconfigurable Hybrid Cache: An Energy-Efficient Last-Level Cache Design. Conference on Design, Automation, and Test in Europe (DATE), Mar 2012.
  19. Yangkyo Kim, Gyungsu Byun, Adrian Tang, Jason Cong, Glenn Reinman, and M. F. Chang. An 8Gb/s/pin 4pJ/b/pin Single-T-Line Dual (Base+RF) Band Simulataneous Bidirectional Mobile Memory I/O Interface with Inter-Channel Interference Suppression. International Solid-State Circuits Conference (ISSCC), Feb 2012.
  20. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Hui Huang, Bin Liu, Raghu Prabhakar, Glenn Reinman, and Marco Vitanza. Compilation and Architecture Support for Customized Vector Instruction Extension. Asia and South Pacific Design Automation Conference (ASP-DAC), Jan/Feb 2012.
  21. Mubbasir Kapadia, Matthew Wang, Glenn Reinman, and Petros Faloutsos. Improved Benchmarking for Crowd Simulations. Motion In Games (MIG), Nov 2011
  22. Kanit Therdsteerasukdi, Gyungsu Byun, Jeremy Ir, Glenn Reinman, Jason Cong, and Frank Chang. The DIMM Tree Architecture: A High Bandwidth and Scalable Memory System. IEEE International Conference on Computer Design (ICCD), Oct 2011.
  23. Yu-Ting Chen, Jason Cong and Glenn Reinman. HC-Sim: A Fast and Exact L1 Cache Simulator with Scratchpad Memory Co-simulation Support. International Conference on Hardware/Software Co-Design and System Synthesis (CODES+ISSS), Oct 2011.
  24. Beayna Grigorian, Marco Vitanza, Jason Cong, and Glenn Reinman. Accelerating Vision and Navigation Applications on a Customizable Platform. International Conference on Application-specific Systems, Architectures and Processors (ASAP), Sep 2011.
  25. Mubbasir Kapadia, Matthew Wang, Shawn Singh, Glenn Reinman, and Petros Faloutsos. Scenario Space: Characterizing Coverage, Quality, and Failure of Steering Algorithms. Symposium on Computer Animation (SCA), Aug 2011.
  26. Jason Cong, Karthik Gururaj, Hui Huang, Chunyue Liu, Glenn Reinman and Yi Zou. An Energy-Efficient Adaptive Hybrid Cache. International Symposium on Low Power Electronics and Design (ISLPED), Aug 2011.
  27. Mubbasir Kapadia, Shawn Singh, Glenn Reinman, and Petros Faloutsos. Multi-Actor Planning for Directable Simulations. Workshop on Digital Media and Digital Content Management, May 2011.
  28. Gyungsu Byun, Yangkyo Kim, Jongsun Kim, Sai-Wang Tam, Jason Cong, Glenn Reinman, and M. F. Chang. An 8.4Gb/s 2.5pJ/b Mobile Memory I/O Interface Using Bi-directional and Simultaneous Dual (Base+RF)-Band Signaling. International Solid-State Circuits Conference (ISSCC), Feb 2011.
  29. Jason Cong, Mohammadali Ghodrat, Michael Gill, Chunyue Liu, Glenn Reinman and Yi Zou. AXR-CMP: Architecture Support in Accelerator-Rich CMPs. Workshop on SoC Architecture, Accelerators and Workloads (SAW-2), Feb 2011.
  30. Shawn Singh, Mubbasir Kapadia, Billy Hewlett, Glenn Reinman and Petros Faloutsos. A Modular Framework for Adaptive Agent-Based Steering. Symposium on Interactive 3D Graphics and Games (I3D), Feb 2011.
  31. Zoran Budimlic, Alex Bui, Jason Cong, Glenn Reinman, Vivek Sarkar. Modeling and Mapping for Customizable Domain-Specific Computing. Workshop on Concurrency for the Application Programmer (CAP), co-located with SPLASH 2010, Oct 2010.
  32. Jason Cong, Chunyue Liu, and Glenn Reinman. ACES: Application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. Design Automation Conference (DAC), Jun 2010.
  33. Shawn Singh, Mubbasir Kapadia, Petros Faloutsos, and Glenn Reinman. On the Interface Between Steering and Animation for Autonomous Characters. Workshop on Crowd Simulation held in conjunction with the 23rd Annual Conference on Computer Animation and Social Agents, May 2010.
  34. Shawn Singh, Mubbasir Kapadia, Glenn Reinman and Petros Faloutsos. An Open Framework for Developing, Evaluating, and Sharing Steering Algorithms. Motion In Games (MIG), Nov 2009.
  35. Suk-Bok Lee, Sai-Wang Tam, Ioannis Pefkianakis, Songwu Lu, M. Frank Chang, Chuanxiong Guo, Glenn Reinman, Chunyi Peng, Mishali Naik, Lixia Zhang, and Jason Cong. A Scalable Micro Wireless Interconnect Structure for CMPs. International Conference on Mobile Computing and Networking, Sept 2009.
  36. Mubbasir Kapadia, Shawn Singh, Brian Allen, Glenn Reinman, and Petros Faloutsos. An Interactive Framework for Specifying and Detecting Steering Behaviors. Symposium on Computer Animation (SCA), Aug 2009.
  37. Jason Cong, M. Frank Chang, Glenn Reinman, and Sai-Wang Tam, Multiband RF-Interconnect for Reconfigurable Network-on-Chip Communications, System Level Interconnect Prediction (SLIP 2009), July 2009.
  38. M. Frank Chang, Jason Cong, Adam Kaplan, Mishali Naik, Jagannath Premkumar, Glenn Reinman, Eran Socher, and Sai-Wang Tam. Power Redu ction of CMP Communication Networks via RF-Interconnects. International Symposium on Microarchitecture (MICRO), Nov 2008.
  39. Jason Cong, Karthik Gururaj, Guoling Han, Adam Kaplan, Mishali Naik, and Glenn Reinman. MC-Sim: An Efficient Simulation Tool for MPSoC Designs. International Conference on Computer-Aided Design (ICCAD), Nov 2008.
  40. Shawn Singh, Mubbasir Kapadia, Mishali Naik, Petros Faloutsos, and Glenn Reinman. Watch Out! A Framework for Evaluating Steering Behaviors. Proceedings of Motion In Games (MIG), June 2008.
  41. M. Frank Chang, Eran Socher, Sai-Wang Tam, Jason Cong, and Glenn Reinman. RF Interconnects for Communications On-Chip. International Symposium on Physical Design (ISPD), Apr 2008.
  42. M. Frank Chang, Jason Cong, Adam Kaplan, Mishali Naik, Glenn Reinman, Eran Socher, and Sai-Wang Tam. CMP Network-on-Chip Overlaid With Multi-Band RF-Interconnect. International Symposium on High-Performance Computer Architecture (HPCA), Feb 2008. BEST PAPER AWARD
  43. Tom Yeh, Petros Faloutsos, Sanjay Patel, Milos Ercegovac, and Glenn Reinman. The Art of Deception: Adaptive Precision Reduction for Area Efficient Physics Acceleration. International Symposium on Microarchitecture (MICRO), Dec 2007.
  44. Yongxiang Liu, Yuchun Ma, Eren Kursun, Jason Cong, and Glenn Reinman. Fine Grain 3D Integration for Microarchitecture Design Through Cube Packing Exploration. IEEE International Conference on Computer Design (ICCD), Oct 2007.
  45. Yongxiang Liu, Yuchun Ma, Eren Kursun, Jason Cong, and Glenn Reinman. 3D Architecture Modeling and Exploration. VLSI/ULSI Multilevel Interconnection Conference, Sept 2007.
  46. Tom Yeh, Petros Faloutsos, Sanjay Patel, and Glenn Reinman. ParallAX: An Architecture for Real-Time Physics. In 34th Annual International Symposium on Computer Architecture (ISCA), June 2007
  47. Yuchun Ma, Zhuoyuan Li, Jason Cong, Xianlong Hong, Glenn Reinman, Sheqin Dong, and Qian Zhou. Micro-architecture Pipelining Optimization with Throughput-Aware Floorplanning. 12th Asia and South Pacific Design Automation Conference (ASPDAC), Jan 2007.
  48. Vasily G. Moshnyaga, Hua Vo, Glenn Reinman, and Miodrag Potkonjak. Reducing Energy of DRAM/Flash Memory System by OS-Controlled Data Refresh. In International Symposium on Circuits and Systems (ISCAS), May 2007.
  49. Anahita Shayesteh, Glenn Reinman, Norm Jouppi, Suleyman Sair, and Tim Sherwood. Improving the Performance and Power Efficiency of Shared Helpers in CMPs. International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), Oct 2006.
  50. Vasily Moshnyaga, Hoa Vo, Glenn Reinman, and Miodrag Potkonjak. Handheld System Energy Reduction by OS-Driven Refresh. Power and Timing Modeling, Optimization, and Simulation (PATMOS), September 2006.
  51. Tom Yeh, Petros Faloutsos, and Glenn Reinman. Enabling Real-Time Physics Simulation in Future Interactive Entertainment. ACM SIGGRAPH Video Game Symposium, Aug 2006.
  52. Jason Cong, Ashok Jagannathan, Yuchun Ma, Glenn Reinman, Jie Wei, and Yan Zhang. An Automated Design Flow for 3D Microarchitecture Evaluation. 11th Asia and South Pacific Design Automation Conference (ASPDAC), Jan 2006.
  53. Anahita Shayesteh, Eren Kursun, Tim Sherwood, Suleyman Sair, and Glenn Reinman. Reducing the Latency and Area Cost of Core Swapping through Shared Helper Engines. IEEE International Conference on Computer Design (ICCD), Oct 2005.
  54. Yongxiang Liu, Gokhan Memik, and Glenn Reinman. Reducing the Energy of Speculative Instruction Schedulers. IEEE International Conference on Computer Design (ICCD), Oct 2005.
  55. Tom Yeh and Glenn Reinman. Fast and Fair: Data-stream Quality of Service. International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), Sep 2005.
  56. Jason Cong, Ashok Jagannathan, Glenn Reinman, and Yuval Tamir. Understanding The Energy Efficiency of SMT and CMP with Multi-clustering. IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Aug 2005.
  57. Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, and Glenn Reinman. Tornado Warning: the Perils of Selective Replay in Multithreaded Processors. International Conference on Supercomputing (ICS), June 2005.
  58. Jason Cong, Yiping Fan, Guoling Han, Ashok Jagannathan, Glenn Reinman, and Zhiru Zhang. Instruction Set Extension with Shadow Registers for Configurable Processors. 13th ACM International Symposium on Field-Programmable Gate Arrays, Feb 2005.
  59. Ashok Jagannathan, Hannah Honghua Yang, Kris Konigsfeld, Dan Milliron, Mosur Mohan, Michail Romesis, Glenn Reinman, and Jason Cong. Microarchitecture Evaluation with Floorplanning and Interconnect Pipelining. Asia South Pacific Design Automation Conference (ASPDAC), Jan 2005.
  60. Eren Kursun, Glenn Reinman, Suleyman Sair, Anahita Shayesteh, and Tim Sherwood. Low-Overhead Core Swapping for Thermal Management. Workshop on Power-Aware Computer Systems (PACS'04) held in conjunction with the 37th Annual International Symposium on Microarchitecture, December 2004.
  61. Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, and Glenn Reinman. The Calm Before the Storm: Reducing Replays in the Cyclone Scheduler. IBM T.J. Watson Conference on Interaction between Architecture, Circuits, and Compilers, Oct 2004.
  62. Jason Cong, Ashok Jagannathan, Glenn Reinman, and Yuval Tamir. A Communication-Centric Approach to Instruction Steering for Future Clustered Processors. IBM T.J. Watson Conference on Interaction between Architecture, Circuits, and Compilers, Oct 2004.
  63. Yongxiang Liu, Anahita Shayesteh, Gokhan Memik, and Glenn Reinman. Scaling the Issue Window with Look-Ahead Latency Prediction. International Conference on Supercomputing (ICS), June 2004.
  64. Fang-Chung Chen, Foad Dabiri, Roozbeh Jafari, Eren Kursun, Vijay Raghunathan, Thomas Schoellhammer, Doug Sievers, Deborah Estrin, Glenn Reinman, Majid Sarrafzadeh, Mani Srivastava, Ben Wu, Yang Yang. Reconfigurable Fabric: An enabling technology for pervasive medical monitoring. Communication Networks and Distributed Systems Modeling and Simulation Conference, Jan 2004.
  65. Jason Cong, Ashok Jagannathan, Glenn Reinman, and Michail Romesis. Microarchitecture Evaluation with Physical Planning. Design Automation Conference (DAC), 2003.
  66. Gokhan Memik, Glenn Reinman, and William H. Mangione-Smith. Reducing Energy and Delay Using Efficient Victim Caches. IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Aug. 2003.
  67. Gokhan Memik, Glenn Reinman, and William H. Mangione-Smith. Just Say No: Benefits of Early Cache Miss Determination. In the proceedings of the 9th IEEE/ACM International Symposium on High Performance Computer Architecture (HPCA), Feb. 2003.
  68. Glenn Reinman, Brad Calder and Todd Austin. High Performance and Energy Efficient Serial Prefetch Architecture. In the proceedings of the 4th International Symposium on High Performance Computing, May 2002, (c) Springer-Verlag.
  69. Glenn Reinman, Brad Calder, and Todd Austin. Fetch Directed Instruction Prefetching. In 32nd International Symposium on Microarchitecture (MICRO), November 1999.
  70. Glenn Reinman, Brad Calder, Dean Tullsen, Gary Tyson, and Todd Austin. Classifying Load and Store Instructions for Memory Renaming. In ACM International Conference on Supercomputing (ICS), June 1999.
  71. Glenn Reinman, Todd Austin, and Brad Calder. A Scalable Front-End Architecture for Fast Instruction Delivery. In 26th Annual International Symposium on Computer Architecture (ISCA), May 1999.
  72. Brad Calder, Glenn Reinman, and Dean Tullsen. Selective Value Prediction. In 26th Annual International Symposium on Computer Architecture (ISCA), May 1999.
  73. Glenn Reinman and Brad Calder. Predictive Techniques for Aggressive Load Speculation. In 31st Annual International Symposium on Microarchitecture (MICRO), December 1998.

    Refereed Journal Publications:

  74. Robert Chen and Glenn Reinman. CHILL: A System for Fine-Grained Mapping of Chained High Impact Long-Latency Load Phases on Tightly Coupled Heterogeneous Multi-cores. International Journal of High Performance Systems Architecture, Jan 2017.
  75. Beayna Grigorian and Glenn Reinman. Accelerating Divergent Applications on SIMD Architectures Using Neural Networks. To appear in ACM Transactions on Architecture and Code Optimization (TACO), Apr 2015.
  76. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian and Glenn Reinman. Architecture Support for Domain-Specific Accelerator-Rich CMPs. ACM Transactions on Embedded Computing Systems (TECS), Apr 2014.
  77. Chunhua Xiao, Frank Chang, Jason Cong, Michael Gill, Zhangqin Huang, Chunyue Liu, Glenn Reinman, Hao Wu. Stream arbitration: Towards efficient bandwidth utilization for emerging on-chip interconnects. ACM Transactions on Architecture and Code Optimization (TACO), Jan 2013.
  78. Kanit Therdsteerasukdi, Gyung-Su Byun, Jeremy Ir, Glenn Reinman, Jason Cong, and M.F. Chang. Utilizing Radio Frequency Interconnect for a Many-DIMM DRAM System. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2012.
  79. Mubbasir Kapadia, Shawn Singh, Wiliam Hewlett, Glenn Reinman, and Petros Faloutsos. Parallelized Egocentric Fields for Autonomous Navigation. The Visual Computer, 2012.
  80. Yanghyo Kim, Sai-Wang Tam, Gyung-Su Byun, Hao Wu, Lan Nan, Glenn Reinman, Jason Cong, and Mau-Chung Frank Chang. Analysis of Non-Coherent ASK Modulation Based RF-Interconnect for Memory Interface. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Jun 2012.
  81. Kanit Therdsteerasukdi, Gyungsu Byun, Jason Cong, Frank Chang, and Glenn Reinman. Utilizing RF-I and Intelligent Scheduling for Better Throughput/Watt in a Mobile GPU Memory System. ACM Transactions on Architecture and Code Optimization (TACO), Jan 2012.
  82. Mubbasir Kapadia, Shawn Singh, Glenn Reinman, and Petros Faloutsos. A Behavior Authoring Framework for Multi-Actor Simulations. IEEE Computer Graphics and Applications: Special Issue on Digital Content Authoring, December 2011
  83. Shawn Singh, Mubbasir Kapadia, Glenn Reinman and Petros Faloutsos. Footstep Navigation for Dynamic Crowds. Computer Animation and Virtual Worlds, April 2011.
  84. Jason Cong, Vivek Sarkar, Glenn Reinman, and Alex Bui. Customizable Domain-Specific Computing. IEEE Design & Test, March/April 2011.
  85. Tom Yeh, Glenn Reinman, Sanjay Patel, and Petros Faloutsos. Fool me twice: Exploring and exploiting error tolerance in physics-based animation. ACM Transactions on Graphics (TOG), December 2009.
  86. Shawn Singh, Mubbasir Kapadia, Petros Faloutsos, and Glenn Reinman. SteerBench: A Benchmark Suite for Evaluating Steering Behaviors. Journal of Computer Animation and Virtual Worlds, Feb 2009.
  87. Yuchun Ma, Yongxiang Liu, Eren Kursun, Glenn Reinman, and Jason Cong. Investigating the Effects of Fine-Grain Three-Dimensional Integration on Microarchitecture Design. ACM Journal on Emerging Technologies in Computing Systems (JETC), Oct 2008.
  88. Jason Cong, Guoling Han, Ashok Jagannathan, Glenn Reinman, and Krzysztof Rutkowski. Accelerating Sequential Applications on CMPs Using Core Spilling. In IEEE Transactions on Parallel and Distributed Systems (TPDS), August 2007.
  89. Glenn Reinman and Gruia Pitigoi-Aron. Trace Cache Miss Tolerance for Deeply Pipelined Superscalar Processors. In IEE Proceedings on Computers and Digital Techniques, September 2006.
  90. Eren Kursun, Anahita Shayesteh, Suleyman Sair, Tim Sherwood, and Glenn Reinman. An Evaluation of Deeply Decoupled Cores. In the Journal of Instruction Level Parallelism (JILP), February 2006.
  91. Anahita Shayesteh, Glenn Reinman, Norm Jouppi, Suleyman Sair, and Tim Sherwood. Dynamically Configurable Shared CMP Helper Engines for Improved Performance. In SIGARCH Computer Architecture News, November 2005.
  92. Gokhan Memik, Glenn Reinman, and Bill Mangione-Smith. Precise Instruction Scheduling. In the Journal of Instruction Level Parallelism (JILP), January 2005.
  93. Glenn Reinman. Using an Operand File to Save Energy and to Decouple Commit Resources. In the IEE Proceedings on Computers and Digital Techniques, Vol 152, Issue 5, September 2005.
  94. Glenn Reinman and Brad Calder. Using a Serial Cache for Energy Efficient Instruction Fetching. In the Journal of Systems Architecture (JSA), 2004.
  95. Brad Calder and Glenn Reinman. A Comparative Survey of Load Speculation Architectures. In the Journal of Instruction Level Parallelism (JILP), May 2000.
  96. Glenn Reinman, Brad Calder, and Todd Austin. Optimizations Enabled by a Decoupled Front-End Architecture. IEEE Transactions on Computing (TOC), Vol 50, No 4, February 2000.

    Patents:

  97. Mau-Chung Chang, Sai-Wang Tam, Gyung-su Byun, Yanghyo Kim, Kanit Therdsteerasukdi, Jeremy Ir, Glenn Reinman, Jingsheng Cong. Multi-band interconnect for inter-chip and intra-chip communications. US 9,178,725. Filing date: Aug 12, 2013. Publication date: Nov 3, 2015.
  98. M. Frank Chang, Jason Cong, Adam Kaplan, Mishali Naik, Glenn Reinman, Eran Socher, and Sai-Wang Tam. On-Chip Radio Frequency (RF) Interconnects for Network-On-Chip Designs. US 8,270,316. Filing date: Jan. 30, 2009. Publication date: Sep. 18, 2012.

    Textbook Chapters:

  99. Yu-Ting Chen, Jason Cong, Michael Gill, Glenn Reinman, and Bingjun Xiao. Customizable Computing. Morgan & Claypool Publishers: Synthesis Lectures on Computer Architecture. July 2015.
  100. Glenn Reinman. Chapter 2: Instruction Cache Prefetching. Speculative Execution in High Performance Computer Architectures. Edited by David Kaeli and Pen Yew. CRC Press, 2005.

    Technical Reports:

  101. Glenn Reinman and Norm Jouppi. CACTI version 2.0: An Integrated Cache Timing and Power Model. WRL Research Report, 2000/7.